TSMC laddar för 10 nanometer 2016 och accelererar utvecklingen av 7 nanometer

Permalänk
Melding Plague

TSMC laddar för 10 nanometer 2016 och accelererar utvecklingen av 7 nanometer

Det är inte förrän i år taiwanesiska TSMC börjar tillverka grafikkretsar på 16 nanometer, men trots detta ligger 10 nanometer för dörren och 7 nanometer planeras till första halvan av 2018.

Läs hela artikeln här

Visa signatur

Observera att samma trivselregler gäller i kommentarstrådarna som i övriga forumet och att brott mot dessa kan leda till avstängning. Kontakta redaktionen om du vill uppmärksamma fel i artikeln eller framföra andra synpunkter.

Permalänk
Medlem

Synd bara att sättet som TSMC räknar storleken på skiljer sig från Intel's, så vitt jag vet.
Sen lär TSMC inte kunna sätta igång med faktiskt produktion av 7nm kretsar förrän långt efter det som påstås.
Möjligen kan dom påbörja tester med 7nm, men det är långt ifrån faktisk produktion.

Permalänk
Sötast

Small shit!

Permalänk
Medlem
Skrivet av bonaqua:

Synd bara att sättet som TSMC räknar storleken på skiljer sig från Intel's, så vitt jag vet.
Sen lär TSMC inte kunna sätta igång med faktiskt produktion av 7nm kretsar förrän långt efter det som påstås.
Möjligen kan dom påbörja tester med 7nm, men det är långt ifrån faktisk produktion.

Ingen, inkl Intel, räknar rätt numera

Tror inte det viktiga blir vem som gör 10 eller 7nm först, utan vem som gör dem bra. 20nm var ju tillgängligt länge, men den fungerade inte bra nog för tex Nvidia (deras egna ord). Och både AMD och Nvidia har ju stannat på 28nm längre än planerat pga detta.

Nu kör AMD och Nvidia på olika tekniker, 16/14nm "namn" men båda ska baseras på 20nm liknande teknik om jag förstår det rätt, med 3D transistorer.

Permalänk
Medlem

Antar att det gäller mest mobiler och liknande. 10nm för grafikkort lär vi få 2020 eller så. Sen så är säkert deras "10nm" Intels 14nm eller åt det hållet.

Permalänk
Medlem
Skrivet av Paddanx:

Ingen, inkl Intel, räknar rätt numera

Tror inte det viktiga blir vem som gör 10 eller 7nm först, utan vem som gör dem bra. 20nm var ju tillgängligt länge, men den fungerade inte bra nog för tex Nvidia (deras egna ord). Och både AMD och Nvidia har ju stannat på 28nm längre än planerat pga detta.

Nu kör AMD och Nvidia på olika tekniker, 16/14nm "namn" men båda ska baseras på 20nm liknande teknik om jag förstår det rätt, med 3D transistorer.

Man måste inse att de nya litografiska processerna primärt utvecklas för mobila applikationer - eventuellt kommer varianter t.ex. lämpade för produkter med högre effektförbrukning per/mm2 senare. För 20nm hände aldrig det, alla visste om att 16nmFF skulle följa snabbt efter, och både TSMC och deras kunder undvek att lägga för mycket utvecklingspengar på en nod som snabbt skulle bli överspelad. Det råder ingen större tvekan om att TSMCs nya processer kommer att vara tillgängliga ungefär när de säger - utvecklingen är långt kommen, verktyg framtagna osv. Hur lämpliga de är för annat än mobila produkter är i alla fall för 7nm noden svårt att säga. Men de kommer att vara lämpliga för mobila produkter. Apple driver i praktiken de snabba litografiska skiftena med sina 300 miljoner processorer per år och djupa fickor, och till skillnad från Intels fabriker används ju samma produktionslinjer sedan för en uppsjö av andra produkter.

Permalänk
Medlem

Jag undrar när de når gränsen för hur litet det kan bli.

Visa signatur

Chassi: Corsair 4000D CPU: Intel i7 11700K RAM: 32Gb DDR4 Moderkort: ASUS Z590-F
Grafik: RTX 3070 Ti OS: W11 SSD: M.2 1TB snabb som tusan

Permalänk
Medlem
Skrivet av EntropyQ3:

Man måste inse att de nya litografiska processerna primärt utvecklas för mobila applikationer - eventuellt kommer varianter t.ex. lämpade för produkter med högre effektförbrukning per/mm2 senare. För 20nm hände aldrig det, alla visste om att 16nmFF skulle följa snabbt efter, och både TSMC och deras kunder undvek att lägga för mycket utvecklingspengar på en nod som snabbt skulle bli överspelad. Det råder ingen större tvekan om att TSMCs nya processer kommer att vara tillgängliga ungefär när de säger - utvecklingen är långt kommen, verktyg framtagna osv. Hur lämpliga de är för annat än mobila produkter är i alla fall för 7nm noden svårt att säga. Men de kommer att vara lämpliga för mobila produkter. Apple driver i praktiken de snabba litografiska skiftena med sina 300 miljoner processorer per år och djupa fickor, och till skillnad från Intels fabriker används ju samma produktionslinjer sedan för en uppsjö av andra produkter.

Håller med dem logiken.

Men mycket kan också ske, och yield är ett stort problem idag, så redo eller inte på lanseringsdagen återstår att se

Skrivet av Cooling23:

Jag undrar när de når gränsen för hur litet det kan bli.

De är ganska nära... Tror det pratas om 5-7nm är gränsen för kisel.

Permalänk
Medlem

Jag citerar John Peddie research:
"The total number of AIBs sold in 2015 was 50 million compared to 44 million in 2014. Of these, about 5.9 million are enthusiast level AIBs (add-in boards / discreet graphics cards) shipped in 2015 compared to 2.9 million in 2014."
Det som känns lite bekymmersamt är hur relativt liten "enthusiast level" marknaden ändå är. De fasta kostnaderna för att designa nya processorer ökar, så det finns lite frågetecken där framåt, även om GPUer verkar vara väldigt modulära och skalbara. Frågan om hur stora volymerna måste vara för att man skall kunna motivera en lite mer skräddarsydd variant av en litografisk process är också oklar.

Permalänk
Medlem
Skrivet av Paddanx:

Håller med dem logiken.

Men mycket kan också ske, och yield är ett stort problem idag, så redo eller inte på lanseringsdagen återstår att se

De är ganska nära... Tror det pratas om 5-7nm är gränsen för kisel.

6nm är gränsen! Mindre transistorer än det så får man problem med tunneleffekt (Quantum tunneling). Tunneleffekt innebär att kvantmekaniska partiklar kan ta sig förbi hinder på ett sätt som klassisk fysik inte tillåter.

Tänk dig en boll som studsar mot en vägg. Bollen studsar tillbaka, men en mindre del av bollen tar sig igenom väggen även fast det inte "ska" ske. Jättekonstigt fenomen, men någonstans har jag hört att forskare nu håller på att ta vara på fenomenet och utnyttja det i nya transistorer.

Visa signatur

Gamingrig | Intel Core i7-6700K @ 4.2 GHz | Nvidia GeForce GTX 980 Ti | ASUS ROG Maximus VIII Formula | 16GB 2133MHz HyperX DDR4 | SSD: Samsung 850 Pro 512GB + Intel 535 480GB + Samsung 840 Pro 256GB | HDD: 2x WD Black 2TB + 2x WD Green 4TB | Creative Sound Blaster ZxR+Sennheiser HD650 | Corsair RM1000 | Corsair H100i V2 | Phanteks P400S Tempered glass | Asus ROG Swift 1440p 165Hz + Asus 1440p PLS | Retina Macbook Pro | i7-3820QM | 8GB RAM | MS Surface Pro 3&4 | Intel i5 | 8GB RAM | 256GB SSD |

Permalänk
Medlem

Är EUV på gång? Även Intel har svårt och håller igen för ännu en tock för att få bättre kvalitet i full produktion.

Permalänk
Medlem
Skrivet av XFTality:

6nm är gränsen! Mindre transistorer än det så får man problem med tunneleffekt (Quantum tunneling). Tunneleffekt innebär att kvantmekaniska partiklar kan ta sig förbi hinder på ett sätt som klassisk fysik inte tillåter.

Tänk dig en boll som studsar mot en vägg. Bollen studsar tillbaka, men en mindre del av bollen tar sig igenom väggen även fast det inte "ska" ske. Jättekonstigt fenomen, men någonstans har jag hört att forskare nu håller på att ta vara på fenomenet och utnyttja det i nya transistorer.

Kan nog stämma för 5nm skulle ha just det problemet... vilket nog inte är lätt försöka hitta en perfekt lösning på

Permalänk
Medlem
Skrivet av bonaqua:

Synd bara att sättet som TSMC räknar storleken på skiljer sig från Intel's, så vitt jag vet.
Sen lär TSMC inte kunna sätta igång med faktiskt produktion av 7nm kretsar förrän långt efter det som påstås.
Möjligen kan dom påbörja tester med 7nm, men det är långt ifrån faktisk produktion.

Precis, när Intel säger att dom inlett produktion då menar dom massproduktion. Skulle man jämföra rakt av så har ju i så fall Intel redan inlett produktion av 10nm med TSMCs måttstock. Sen är det ju som du säger att TSMC kommer ju knappt komma ikapp densiteten Intel har på 14nm när dom hoppar till 10 så dom ligger rätt långt efter.

Tänk om Intel skulle öppna upp sina fabs för allmän produktion, vi skulle kunna hoppa över en hel grafikkortsgeneration t.ex :/

Vi kan alltid hoppas på att Samsung drar igång rejält på fab sidan, finns det nåt företag med resurserna att komma ikapp och förbi Intel så är det dom. Dom är ju redan förbi TSMC då deras 14nm när det fäller densitet är bättre än vad TSMC kan erbjuda med sin 16nm nod.

Permalänk
Medlem
Skrivet av Cooling23:

Jag undrar när de når gränsen för hur litet det kan bli.

Ja, kanske nanoteknik börjar bli omodernt och vi hoppar över till pikoteknik 900 pm (pikometer) typ istället för 0.9nm

Skickades från m.sweclockers.com

Visa signatur

Dator 1: | i9 9900k | MSI RTX 4080 Ventus 3x OC | ASUS ROG STRIX Z390-F Gaming | Corsair LPX DDR4 32 GB 3200Mhz | FD define S | Samsung 850 EVO 1TB | Samsung 980 NVMe M.2 1TB | Noctua NH-U12S | Corsair RM750x 750W | Windows 11
Skärm 1: ASUS 27" ROG SWIFT PG279Q 1440p @ 165Hz/IPS/G-Sync
Skärm 2: ASUS 27" TUF VG27AQ1A 1440p @ 170Hz/IPS/G-Sync/HDR

Permalänk
Datavetare

Problemet med mindre noder är att kostnaden per transistor inte längre ser 30-50 % minskning per nod som var fallet fram till 28 nm. Detta är en analys/projektion av kostnaden per 100M transistorer

$ per 100M gates

Q4/2015

Q4/2016

Q4/2017

28nm bulk CMOS

1.44

1.07

0.92

28nm FD SOI

1.39

1.06

0.90

20nm bulk CMOS

1.46

1.39

1.34

16/14nm FinFET

1.78

1.65

1.57

Om detta stämmer kommer det i.o.f.s. vara möjligt att skapa kretsar med allt fler transistorer (mindre noder) men priset på kretsarna borde rimligen öka då de måste bara sina kostnader.

Så frågan är om inte vissa typer av produkter kommer stanna på äldre noder under ganska lång tid framöver, det av kostnadsskäl.

Visa signatur

Care About Your Craft: Why spend your life developing software unless you care about doing it well? - The Pragmatic Programmer

Permalänk
Medlem

Citat ur nyhetsartikeln: Snävare marginaler och inte minst fysikens lagar har dock gjort att utvecklingen på senare år saktat ned.

När man e hungrig å läser snabbt så får hjärnan lite knepiga bilder i hövvet. Typ att universum å kretstillverkare är i ett race å så ser universumet att Tsmc försöker komma ikapp med ännu mindre tillverkningsnod å då sätter Universumet käppen i hjulen(ännu knepigare fysikalisa lagar) för att Tsmc inte ska försöka att utmana i racet. Mena, fysikens lagar e ju alltid samma så oavsett vad vi gör så blev lite wtf när man läste meningen haha.

Visa signatur

12700k@/7200c34@7800/msi z790 itx

Permalänk
Medlem
Skrivet av xcluzive:

Ja, kanske nanoteknik börjar bli omodernt och vi hoppar över till pikoteknik 900 pm (pikometer) typ istället för 0.9nm

Skickades från m.sweclockers.com


En kiselatom har en diameter på i runda slängar (definitionsfråga) 0.250nm. En DNA-helix har en diameter på ungefär 2nm. Visst kan vi göra mindre strukturer, men inte så förtvivlat mycket mindre.
Men annat kan hända. Nya, komplexa materialkombinationer ligger ganska nära i framtiden t.ex. Kanske inte ger så mycket i packningstäthet, men kanske i frekvenser.
Det hela skall ju vara motiverat ekonomiskt också, det är ju mycket den minskade kostnaden per transistor som drivit utvecklingen av packningstätheten, som Yoshman pekar på ovan.
Lite skeptisk till siffrorna där, lägg märke till att data är tagna från en tillverkare som erbjuder ett kostnadseffektivt alternativ till FinFet (Fully Depleted SOI), så de har all anledning att överdriva FinFet kostnaderna....

Permalänk
Avstängd

Hurra!
Men jag hoppas att vi snart får se ett stort genombrott.

Permalänk
Medlem

Slide 28 i marketingtexten som Yoshman länkade till är intressant.
Även om man tar de projekterade siffrorna med en STOR nypa salt, så visar tabellen på att man måste sälja mycket fler kretsaroch eller till högre pris på de kommande noderna för att täcka kostnaderna för design och ramp-up.
EUV kan hjälpa liksom en del annat, men det finns en verklighet bakom projektionerna som faktiskt ser lite dyster ut för allt annat än de största volymssäljarna.

Permalänk
Skrivet av xcluzive:

Ja, kanske nanoteknik börjar bli omodernt och vi hoppar över till pikoteknik 900 pm (pikometer) typ istället för 0.9nm

Skickades från m.sweclockers.com

Skrivet av EntropyQ3:


En kiselatom har en diameter på i runda slängar (definitionsfråga) 0.250nm. En DNA-helix har en diameter på ungefär 2nm. Visst kan vi göra mindre strukturer, men inte så förtvivlat mycket mindre.
Men annat kan hända. Nya, komplexa materialkombinationer ligger ganska nära i framtiden t.ex. Kanske inte ger så mycket i packningstäthet, men kanske i frekvenser.
Det hela skall ju vara motiverat ekonomiskt också, det är ju mycket den minskade kostnaden per transistor som drivit utvecklingen av packningstätheten, som Yoshman pekar på ovan.
Lite skeptisk till siffrorna där, lägg märke till att data är tagna från en tillverkare som erbjuder ett kostnadseffektivt alternativ till FinFet (Fully Depleted SOI), så de har all anledning att överdriva FinFet kostnaderna....

Jag tycker att vi kan gå över till Ångström när vi ändå håller på.

Permalänk
Medlem
Skrivet av Paddanx:

Kan nog stämma för 5nm skulle ha just det problemet... vilket nog inte är lätt försöka hitta en perfekt lösning på

Intel har nämnt 5nm som möjlig nivå att nå men redan till nästa krympning 7nm så lär nya material användas typ silicon/germanium (SiGe). Annars har man lyckats tillverka enstaka transistorer nedåt 1nm men knappast funktionella och än mindre med kommersiellt användbar tillverknings teknik.

Skickades från m.sweclockers.com

Visa signatur

CPU: Intel i9-12900K Cooler: Asus TUF Gaming LC 240 ARGB MB: Asus TUF Gaming Z690 Plus Wifi D4 GPU: Asus TUF GeForce RTX 3080 Ti 12GB Case: In Win D-Frame HD: Intel Optane 900P 280GB, WD Black SN850 1TB Mem: Kingston Fury Beast RGB 3600MHz CL17 32GB PSU: Corsair AX760 OS: Win11 Pro

Permalänk
Medlem
Skrivet av Cooling23:

Jag undrar när de når gränsen för hur litet det kan bli.

5-6nm för kisel, men kommer nog hitta ett nytt material lagom till 2030

Visa signatur

Min dator: Silent Base 600 | 1700X @ 3.9Ghz | MSI Gaming X 1080TI | RM750X | 512Gb M2 | 16Gb 3200mhz Ram | S34E790C @ 3440x1440
Tjejens dator: Define r4 | i5 3570k @ 4.2ghz | GTX Titan | 750w Supernova | 240gb SSD | 32gb ram
Citera/Tagga för svar!

Permalänk
Avstängd

Det är ju helt otroligt vad tekniken gått framåt. På 10 år har vi gått från en tillverkningsteknik på runt 100 ner till 10nm.

Visa signatur

https://mega.nz/#!xNBjAJKA!OQctTR0tY5EK1Dk68MV0loIyCD3ftwL_KI...
(DX-11 DLL-fil till SweetFX/Reshade som är kompatibel med GTA 5)

Permalänk

Tunnling är en (ungefär) materialoberoende effekt som inträder vid potentialbarriärer med en tjocklek på 1-2 nm och nedåt. Och det är inte att "en del av bollen går igenom" snarare att en hel boll då och då flyger igenom. Sen finns det en hel hög andra tillverkningstekniska problem som dyker upp ...

Skickades från m.sweclockers.com

Permalänk
Medlem

Ska bli mycket intressant att se hur TSMC kommer stå sig mot GF.

Visa signatur

[ AMD 7800X3D // EK-Block @ custom loop, 2x420mm ][ MSI B650 Tomahawk ][ 32GB G.Skill Z5 Neo @ DDR6000 CL28 1T ][ AMD 7900XTX @ custom loop ][ Corsair 750D // Corsair RM1000X ][ 2TB Samsung 990PRO M.2 SSD ][ Win10 PRO x64 ][ LG 34GN850 ]

Permalänk
Medlem
Skrivet av bjornchristensen:

Tunnling är en (ungefär) materialoberoende effekt som inträder vid potentialbarriärer med en tjocklek på 1-2 nm och nedåt. Och det är inte att "en del av bollen går igenom" snarare att en hel boll då och då flyger igenom. Sen finns det en hel hög andra tillverkningstekniska problem som dyker upp ...

Skickades från m.sweclockers.com

Skulle gissa att det hänger på hur strömsnåla man göra transistorerna, något som dock lär påverka hur snabbt man kan klocka dem. Det bör mao vara fördel GPU, då dom lämpar sig för parallelisering. Men vara en större nackdel för CPU'er som behöver single thread prestanda.

Permalänk
Medlem
Skrivet av EntropyQ3:


En kiselatom har en diameter på i runda slängar (definitionsfråga) 0.250nm. En DNA-helix har en diameter på ungefär 2nm. Visst kan vi göra mindre strukturer, men inte så förtvivlat mycket mindre.
Men annat kan hända. Nya, komplexa materialkombinationer ligger ganska nära i framtiden t.ex. Kanske inte ger så mycket i packningstäthet, men kanske i frekvenser.
Det hela skall ju vara motiverat ekonomiskt också, det är ju mycket den minskade kostnaden per transistor som drivit utvecklingen av packningstätheten, som Yoshman pekar på ovan.
Lite skeptisk till siffrorna där, lägg märke till att data är tagna från en tillverkare som erbjuder ett kostnadseffektivt alternativ till FinFet (Fully Depleted SOI), så de har all anledning att överdriva FinFet kostnaderna....

Kanske en framtida teknik kan göra det möjligt att "förminska" atomer genom att reducera utrymmet mellan protoner/neutroner och elektroderna

Visa signatur

i7-4790K | Noctua NH-D14 | ASUS Z97-A | Geforce 780 GTX | Samsung 850 PRO 512GB | Plextor M3PRO 128 GB | Fractial Design XL | MacBook Pro Retina 13"

Permalänk

@Lordsqueak:

En stor del av problemen är idag rent litografiska problem när du har svårt att definiera riktigt små detaljer för att den mekaniska positioneringen av din optiska mask helt enkelt inte är tillräckligt exakt. Sen har det även att göra med skalningseffekter när du gör mindre kretsar, vissa parametrar skalar mer än andra på ett sätt som inte är önskvärt och vissa parametrar vill du hålla konstanta för att uppfylla industristandarder. Därefter tillkommer problem med att material som du dopar kislet med diffunderar på ett icke önskvärt sätt så att det aktiva regioner du vill definiera väldigt precist blir diffusa.

Dessutom har du parasitiska kapacitanseffekter som ökar med minskande avstånd och ställer till problem. Och sedan riskerar du elektromigration i den minsta metalliska ledarna som ansluter till transistorn, en effekt som är direkt kopplat till en liten tvärsnittsarea och därmed hög strömtäthet lokalt.

Du kan heller inta skala dopningen av Source/Drain i samma tempo som du skulle vilja längre på grund av begränsningar i lösligheten i det dopade materialet.

Tittar vi på effektförbrukningen gäller (förenklat) P = C*(Vdd^2)*f där P är effekten, C är kapacitansen, Vdd är spänningen över Drain och f är frekvensen som transistorn i sig jobbar med. Över de senaste decennierna har Vdd minskat markant vilket ger en stor effekt på den använda effekten. Ett av problemen är att antalet transistorer ökar extremt fort och i samband med att man isolerar varje enhet mer effektivt elektriskt från övriga så försämrar man även den termiska ledningsförmågan. Detta innebär att det är svårare att leda bort värme från varje enskild transistor och ökar även det kraven på låg effektförbrukning per enhet.

Sen ska vi komma ihåg att transistorerna inte jobbar enskilt utan ingår i logiska nätverk som även där introducerar tidsfördröjningar och begränsar hastigheten som kretsen i slutänden kan jobba med.

Känner att jag ska sluta skriva nu, men det finns väldigt många problem (eller utmaningar...) med att skala kretsar nu för tiden :-). Är man intresserad av djupare information kan jag rekommendera "Fundamentals of Modern VLSI Devices" av Yuan Taur. 700 sidor skojigheter

Korrigerat boktiteln
Permalänk
Medlem
Skrivet av EntropyQ3:

Slide 28 i marketingtexten som Yoshman länkade till är intressant.
Även om man tar de projekterade siffrorna med en STOR nypa salt, så visar tabellen på att man måste sälja mycket fler kretsaroch eller till högre pris på de kommande noderna för att täcka kostnaderna för design och ramp-up.
EUV kan hjälpa liksom en del annat, men det finns en verklighet bakom projektionerna som faktiskt ser lite dyster ut för allt annat än de största volymssäljarna.

Något som också märkts redan på 28nm när mellanklass kortens pris gick upp typ 1000kr. Det är inte mycket att göra åt det, utan att man får räkna med det. Lite därför jag anser att man måste börja tänka på hållbarheten på korten också, inte bara initiala pris/prestanda. För nästa kort kommer kosta mer, och mer, så man får räkna med att behålla sitt kort lite längre, om du inte planerar öka din egen lön i samma takt dvs.

Skrivet av oceanic:

Intel har nämnt 5nm som möjlig nivå att nå men redan till nästa krympning 7nm så lär nya material användas typ silicon/germanium (SiGe). Annars har man lyckats tillverka enstaka transistorer nedåt 1nm men knappast funktionella och än mindre med kommersiellt användbar tillverknings teknik.

Skickades från m.sweclockers.com

Tror helt ärligt att materialändring är enda sättet att få detta att fungera. Man måste nog börja om från scratch, för att komma nånvart nu. Kisel har varit den enkla vägen, och nu kommer vägbulor, farthinder, hastighetsbegränsningar och trafikljus...

Skrivet av bjornchristensen:

Tunnling är en (ungefär) materialoberoende effekt som inträder vid potentialbarriärer med en tjocklek på 1-2 nm och nedåt. Och det är inte att "en del av bollen går igenom" snarare att en hel boll då och då flyger igenom. Sen finns det en hel hög andra tillverkningstekniska problem som dyker upp ...

Skickades från m.sweclockers.com

Så mao har artikeln inte helt rätt gällande kommande lösningar:
"Snävare marginaler och inte minst fysikens lagar har dock gjort att utvecklingen på senare år saktat ned."

För fysikens lagar säger att den bollen ska studsa mot väggen... så problemet är snarare att saker inte följer fysikens lagar, vilket ju blir... roligt, att förutspå

Permalänk
Medlem
Skrivet av undelete:

Kanske en framtida teknik kan göra det möjligt att "förminska" atomer genom att reducera utrymmet mellan protoner/neutroner och elektroderna

Skapas det inte en singularitet så fort du slår på strömmen. Problemet med singularitet är att du skapar ett hål eller fördjupning i planet som blir större och större, se simpson när han kommer till realiteten